PYNQ_Z2从vivado到SDK的PS到PL点灯以及固化流程_pynq flash 程序固化-程序员宅基地

技术标签: stm32  vivado  arm  单片机  

转自:https://blog.csdn.net/qq_42263796/article/details/101828046

1. vivado的安装

不得不说赛灵思vivado安装比较费时,有时候还装不上。比较好的解决办法是找一台网卡比较好的电脑下载安装包。我这里安装的是web design 2019.1。

安装直接去赛灵思官网下载就行。

2. PYNQ_Z2 board file

使用pynq_z2,我们首先要从官网下载boardfile。

https://d2m32eurp10079.cloudfront.net/Download/pynq-z2.zip

解压,将文件复制到安装路径下。如图所示。

3. 新建一个vivado工程

1.1 vivado 部分

输入工程名字。next。

这里不需要添加源文件,勾选,do not specify sources at this time。next。

选择板卡PYNQ_Z2。next,

然后点击finish。

vivado界面介绍在这里不做解释。现在直接操作。点击 create block design.。输入名字test_led。点击OK。

生成如下界面。

点击中间的加号添加IP核 。并在弹出的框输入zynq,选择zynq7 processing sysem。

然后点击,run block automation。默认,不用操作。.

手动连接CLK,结果如下,然后双击这个IP核。

在这里,双击IP后,不需要做任何操作。如图所示。

点击加号,或者右击空白处,选择添加IP核。

输入GPIO ,选择AXI GPIO

结果如下。

同样的,点击run connection automation 。选择GPIO接口为leds_4bits。

结果如图所示。

点击sources,右击test_led。

单击,create HDL wrapper,生成顶层文件。并选择,let vivado manage wrapper and auto-update

这样一个vivado工程已经完成了。

接下来是逻辑综合和下载了。

现在把目光看到左边的栏目flow navigation.

单击 generate bistream, 生成比特流文件。

点击OK,等待一段时间。时间长短看电脑性能(一般半个小时左右吧),完成后会自动弹出下图的对话框,右上角显示状态,是正在执行还是完成,完成了显示打勾。

完成后选择implementation点击OK。(也可以直接 view report)

然后点击open implemented design,再点击 report ultilization。如图所示,查看资源使用情况。

然后接下来是加载到SDK了。

1.2 SDK 部分

点击,file,选择export ->export hardware。然后需要勾选 include bistream,点击OK

然后再次点击file,选择 launch SDK ,再点击OK

等待一段时间,打开SDK。

SDK界面就不一一详细介绍啦,自己需要的话可以自己点击一下看看。

新建一个SDK工程

file->neew->application project

输入工程名字为led_test

然后点击Next

选择empty appllication,点击finish。打开led_test->src

右击src,添加led_test.c

在led_test.c输入以下代码。

/*
 * led_test.c
 *
 *  Created on: 2019年10月1日
 *      Author: wwccss
 */
 
/* Include Files */
#include "xparameters.h"
#include "xgpio.h"
#include "xstatus.h"
#include "xil_printf.h"
 
/* Definitions */
#define GPIO_DEVICE_ID  XPAR_AXI_GPIO_0_DEVICE_ID	/* GPIO device that LEDs are connected to */
#define led_delay 10000000							/* Software delay length */
#define led_channel 1								/* GPIO port for LEDs */
#define printf xil_printf							/* smaller, optimised printf */
unsigned int mled = 0x01;							/* first led*/
XGpio Gpio;											/* GPIO Device driver instance */
 
int LEDOutputExample(void)
{
    
 
	volatile int delay;
	int Status;
 
		/* GPIO driver initialisation */
		Status = XGpio_Initialize(&Gpio, GPIO_DEVICE_ID);
		if (Status != XST_SUCCESS) {
    
			return XST_FAILURE;
		}
 
		/*Set the direction for the LEDs to output. */
		XGpio_SetDataDirection(&Gpio, led_channel, 0x00);
 
		/* Loop forever blinking the LED. */
			while (1) {
    
				/* Write output to the LEDs. */
				XGpio_DiscreteWrite(&Gpio, led_channel, mled);
				mled = mled<<1;
				if(mled>0x8)
					mled = 1;
				/* Wait a small amount of time so that the LED blinking is visible. */
				for (delay = 0; delay < led_delay; delay++);
			}
 
		return XST_SUCCESS; /* Should be unreachable */
}
 
/* Main function. */
int main(void){
    
 
	int Status;
	/* Execute the LED output. */
	Status = LEDOutputExample();
	if (Status != XST_SUCCESS) {
    
		xil_printf("GPIO output to the LEDs failed!\r\n");
	}
	return 0;
}
 

注意:这个SDK需要ctrl+s,保存后编译有效。

编译无误后,下载验证。

首先是program FPGA这是逻辑部分。

单击左上角的Xilinx -> program FPGA -> program

然后是SDK工程。

板子的跳线帽选择,QSPI(固化时候可选,JATG)点击,等待。。。

然后点击运行。可以看到PYNQ_Z2板子上的LED轮流依次点亮。

先点击中间的,disconnect,然后点击右上角第二个图标,退出调试状态,返回编辑状态。

接下来就是程序的固化了。

1.3 PYNQ_Z固化流程

首先建立一个FSLB工程,FSBL是干什么的,自行百度。

点击Next

然后选择Zynq_FSBL,点击OK,等待。

然后点击,Xilinx->Create Boot Image

选择Output BIF file path ,

结果如下boot为了区分,自己新建的。

然后是在boot image partition 这一栏。

需要添加一个bootloader文件和两个datafile,结果如下。

上述添加的文件要按照如上顺序,否则会出问题。

然后单击 create image

然后点击Xilinx->program flash

添加刚刚生成的镜像文件,以及fsbl file,这里选择flash type 为qspi-4x-single(这个具体看板子的介绍会有说明)

这里PYNQ_Z2板子跳线帽选择的是QSPI,如果失败,会提示选择JATG.。

重新上电,会看到LED灯和刚才调试的一样的方式,轮流点亮。

1.4 SD卡启动

将刚刚制作的镜像文件复制到SD卡,如图所示。

这里还需要uImage,否者启动失败,.bin修改为其他名字也会无法启动。

uImage获取方法是:

首先烧录PYNQ_Z2的镜像到SD卡,然后格式化SD卡会剩下BOOT.bin和uImage,然后就可以得到想要的uImage了。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_45467083/article/details/121384967

智能推荐

2022黑龙江最新建筑八大员(材料员)模拟考试试题及答案_料账的试题-程序员宅基地

文章浏览阅读529次。百分百题库提供建筑八大员(材料员)考试试题、建筑八大员(材料员)考试预测题、建筑八大员(材料员)考试真题、建筑八大员(材料员)证考试题库等,提供在线做题刷题,在线模拟考试,助你考试轻松过关。310项目经理部应编制机械设备使用计划并报()审批。A监理单位B企业C建设单位D租赁单位答案:B311对技术开发、新技术和新工艺应用等情况进行的分析和评价属于()。A人力资源管理考核B材料管理考核C机械设备管理考核D技术管理考核答案:D312建筑垃圾和渣土._料账的试题

chatgpt赋能python:Python自动打开浏览器的技巧-程序员宅基地

文章浏览阅读614次。本文由chatgpt生成,文章没有在chatgpt生成的基础上进行任何的修改。以上只是chatgpt能力的冰山一角。作为通用的Aigc大模型,只是展现它原本的实力。对于颠覆工作方式的ChatGPT,应该选择拥抱而不是抗拒,未来属于“会用”AI的人。AI职场汇报智能办公文案写作效率提升教程 专注于AI+职场+办公方向。下图是课程的整体大纲下图是AI职场汇报智能办公文案写作效率提升教程中用到的ai工具。_python自动打开浏览器

Linux中安装JDK-RPM_linux 安装jdk rpm-程序员宅基地

文章浏览阅读545次。Linux中安装JDK-RPM方式_linux 安装jdk rpm

net高校志愿者管理系统-73371,计算机毕业设计(上万套实战教程,赠送源码)-程序员宅基地

文章浏览阅读25次。免费领取项目源码,请关注赞收藏并私信博主,谢谢-高校志愿者管理系统主要功能模块包括页、个人资料(个人信息。修改密码)、公共管理(轮播图、系统公告)、用户管理(管理员、志愿用户)、信息管理(志愿资讯、资讯分类)、活动分类、志愿活动、报名信息、活动心得、留言反馈,采取面对对象的开发模式进行软件的开发和硬体的架设,能很好的满足实际使用的需求,完善了对应的软体架设以及程序编码的工作,采取SQL Server 作为后台数据的主要存储单元,采用Asp.Net技术进行业务系统的编码及其开发,实现了本系统的全部功能。

小米宣布用鸿蒙了吗,小米OV对于是否采用鸿蒙保持沉默,原因是中国制造需要它们...-程序员宅基地

文章浏览阅读122次。原标题:小米OV对于是否采用鸿蒙保持沉默,原因是中国制造需要它们目前华为已开始对鸿蒙系统大规模宣传,不过中国手机四强中的另外三家小米、OPPO、vivo对于是否采用鸿蒙系统保持沉默,甚至OPPO还因此而闹出了一些风波,对此柏铭科技认为这是因为中国制造当下需要小米OV几家继续将手机出口至海外市场。 2020年中国制造支持中国经济渡过了艰难的一年,这一年中国进出口贸易额保持稳步增长的势头,成为全球唯一..._小米宣布用鸿蒙系统

Kafka Eagle_kafka eagle git-程序员宅基地

文章浏览阅读1.3k次。1.Kafka Eagle实现kafka消息监控的代码细节是什么?2.Kafka owner的组成规则是什么?3.怎样使用SQL进行kafka数据预览?4.Kafka Eagle是否支持多集群监控?1.概述在《Kafka 消息监控 - Kafka Eagle》一文中,简单的介绍了 Kafka Eagle这款监控工具的作用,截图预览,以及使用详情。今天_kafka eagle git

随便推点

Eva.js是什么(互动小游戏开发)-程序员宅基地

文章浏览阅读1.1k次,点赞29次,收藏19次。Eva.js 是一个专注于开发互动游戏项目的前端游戏引擎。:Eva.js 提供开箱即用的游戏组件供开发人员立即使用。是的,它简单而优雅!:Eva.js 由高效的运行时和渲染管道 (Pixi.JS) 提供支持,这使得释放设备的全部潜力成为可能。:得益于 ECS(实体-组件-系统)架构,你可以通过高度可定制的 API 扩展您的需求。唯一的限制是你的想象力!_eva.js

OC学习笔记-Objective-C概述和特点_objective-c特点及应用领域-程序员宅基地

文章浏览阅读1k次。Objective-C概述Objective-C是一种面向对象的计算机语言,1980年代初布莱德.考斯特在其公司Stepstone发明Objective-C,该语言是基于SmallTalk-80。1988年NeXT公司发布了OC,他的开发环境和类库叫NEXTSTEP, 1994年NExt与Sun公司发布了标准的NEXTSTEP系统,取名openStep。1996_objective-c特点及应用领域

STM32学习笔记6:TIM基本介绍_stm32 tim寄存器详解-程序员宅基地

文章浏览阅读955次,点赞20次,收藏16次。TIM(Timer)定时器定时器可以对输入的时钟进行计数,并在计数值达到设定值时触发中断16位计数器、预分频器、自动重装寄存器的时基单元,在 72MHz 计数时钟下可以实现最大 59.65s 的定时,59.65s65536×65536×172MHz59.65s65536×65536×721​MHz不仅具备基本的定时中断功能,而且还包含内外时钟源选择、输入捕获、输出比较、编码器接口、主从触发模式等多种功能。_stm32 tim寄存器详解

前端基础语言HTML、CSS 和 JavaScript 学习指南_艾编程学习资料-程序员宅基地

文章浏览阅读1.5k次。对于任何有兴趣学习前端 Web 开发的人来说,了解 HTML、CSS 和JavaScript 之间的区别至关重要。这三种前端语言都是您访问过的每个网站的用户界面构建块。而且,虽然每种语言都有不同的功能重点,但它们都可以共同创建令人兴奋的交互式网站,让用户保持参与。因此,您会发现学习所有三种语言都很重要。如果您有兴趣从事前端开发工作,可以通过多种方式学习这些语言——在艾编程就可以参与到学习当中来。在本文中,我们将回顾每种语言的特征、它们如何协同工作以及您可以在哪里学习它们。HTML vs C._艾编程学习资料

三维重构(10):PCL点云配准_局部点云与全局点云配准-程序员宅基地

文章浏览阅读2.8k次。点云配准主要针对点云的:不完整、旋转错位、平移错位。因此要得到完整点云就需要对局部点云进行配准。为了得到被测物体的完整数据模型,需要确定一个合适的坐标系变换,将从各个视角得到的点集合并到一个统一的坐标系下形成一个完整的数据点云,然后就可以方便地进行可视化,这就是点云数据的配准。点云配准技术通过计算机技术和统计学规律,通过计算机计算两个点云之间的错位,也就是把在不同的坐标系下的得到的点云进行坐标变..._局部点云与全局点云配准

python零基础学习书-Python零基础到进阶必读的书藉:Python学习手册pdf免费下载-程序员宅基地

文章浏览阅读273次。提取码:0oorGoogle和YouTube由于Python的高可适应性、易于维护以及适合于快速开发而采用它。如果你想要编写高质量、高效的并且易于与其他语言和工具集成的代码,《Python学习手册:第4 版》将帮助你使用Python快速实现这一点,不管你是编程新手还是Python初学者。本书是易于掌握和自学的教程,根据作者Python专家Mark Lutz的著名培训课程编写而成。《Python学习..._零基础学pythonpdf电子书